Samsung accelerates its entry into the semiconductor glass substrate market

Why it matters: The adoption of glass substrate technology is seen as a crucial step in maintaining the momentum of Moore’s Law past 2030, providing a means for the industry to continue its evolution without being hindered by the limitations of process size. Samsung is aggressively moving to surpass Intel in this arena, who has been investigating glass substrates for nearly ten years and aims to introduce them into its products by 2030. Samsung’s revised schedule puts it in a favorable position to bring its glass substrate-based products to market before Intel.

Samsung Electro-Mechanics is hastening its push into the semiconductor glass substrate sector by moving up its equipment procurement and setup to September. Reports from ETNews indicate the company will start a pilot line for next-generation packaging technology in Sejong, South Korea, during the last quarter of the year, ahead of initial plans.

The firm began research and development on glass substrates and exploring their applications earlier in the year.

Following an announcement at CES 2024, it’s clear Samsung is accelerating its efforts to dominate the glass substrate field, especially to outperform Intel. The company now plans to start producing glass substrates for premium system-in-package solutions by 2026.

For this initiative, Samsung has secured its supply chain, choosing Philoptics, Chemtronics, Joongwoo M-Tech, and Germany’s LPKF as suppliers.

The transformative potential of glass substrate in advancing the miniaturization and performance of semiconductor packages is significant. According to Intel, by decade’s end, conventional silicon-based packages with organic substrates will encounter scaling challenges, including higher power usage and physical distortions. Glass, on the other hand, features unparalleled flatness and thermal stability, enabling tighter component integration and leading to a substantial increase in interconnect density—potentially by an order of magnitude. This makes it feasible to build high-performance chipsets for demanding applications such as artificial intelligence.

Apple is also investigating glass substrate’s potential, with reports pointing to negotiations with suppliers, including possibly Samsung, to devise a plan for incorporating glass substrates into its hardware.

Despite the promising outlook, technical hurdles remain, including integration challenges and interface engineering complexities, as pointed out by Rahul Manepalli of Intel. Other issues involve the material’s fragility, poor metal adhesion, and challenges in achieving even via filling, which are critical for reliable electrical functionality.

Yet, there is optimism about overcoming these obstacles. The glass substrate market is forecasted to reach $2.3 billion this year, with expected strong growth over the next decade, reaching $4.2 billion by 2034.

Recent Posts

Categories

Gallery

Scroll to Top